FPGA Architecture: Principles and Progression

Ieee account.

  • Change Username/Password
  • Update Address

Purchase Details

  • Payment Options
  • Order History
  • View Purchased Documents

Profile Information

  • Communications Preferences
  • Profession and Education
  • Technical Interests
  • US & Canada: +1 800 678 4333
  • Worldwide: +1 732 981 0060
  • Contact & Support
  • About IEEE Xplore
  • Accessibility
  • Terms of Use
  • Nondiscrimination Policy
  • Privacy & Opting Out of Cookies

A not-for-profit organization, IEEE is the world's largest technical professional organization dedicated to advancing technology for the benefit of humanity. © Copyright 2024 IEEE - All rights reserved. Use of this web site signifies your agreement to the terms and conditions.

fpga architecture Recently Published Documents

Total documents.

  • Latest Documents
  • Most Cited Documents
  • Contributed Authors
  • Related Sources
  • Related Keywords

On-orbit real-time variational image destriping: FPGA architecture and implementation

Fpga architecture and design flow, distributed nonlinear-polynomial computing based on a group of polynomials over a galois field in the fpga architecture, research on machine learning optimization algorithm of cnn for fpga architecture, maple: a machine learning based aging-aware fpga architecture exploration framework, koios: a deep learning benchmark suite for fpga architecture and cad research, hardware software co-design based cpu-fpga architecture: overview and evaluation, unidirectional multi-bit fpga architecture for area efficient implementation of datapath circuits.

Field Programmable Gate Arrays (FPGAs) are increasingly being used to implement large datapath-oriented application that are designed to process multiple-bit wide data. Studies have shown that the regularity of these multi-bit signals can be effectively exploited to reduce the implementation area of datapath circuits on FPGAs that employ the traditional bidirectional routing. Most of modern FPGAs, however, employ unidirectional routing tracks which are more area and delay efficient. No study has investigated the design of multi-bit routing resources that can effectively transport multiple-bit wide signals using unidirectional routing tracks. This paper presents such an investigation of architectures which employ multi-bit connections and unidirectional routing resources to exploit datapath regularity. It is experimentally shown that unidirectional multi-bit architectures are 8.6% more area efficient than the conventional architecture. Additionally, this paper determines the most are efficient proportion of multi-bit connections.

The reduction of Crosstalk in VLSI due to parallel bus structure using Data Compression Bus Encoding technique implemented on Artix 7 FPGA Architecture

In this work, a bus encoding method is proposed that reduces the effect of crosstalk. The crosstalk usually occurs when the data is in parallel communicated. In planar structures, the crosstalk effect is large due to the usage of parallel communication and wide data patterns. In bus technique, the huge amount of wires is laid in equal over a significant time. One way to reduce crosstalk without changing the parallel communicating data lines is to reduce the wideband data patterns so as to reduce the power utilization. The proposed encoding method can minimize the crosstalk by reducing wide data patterns without degrading the performance. The architecture is implemented on Artix 7 FPGA at a 28nm technology node. The simulation is done using the HDL tool and the results are compared with the existing FPGA architecture. With the proposed method, the wire density and the power consumption are reduced by 57.4% and 50% respectively as compared with existing 45 nm technologies.

Export Citation Format

Share document.

Reconfigurable FPGA Architectures: A Survey and Applications

  • Review Paper
  • Published: 17 November 2020
  • Volume 102 , pages 143–156, ( 2021 )

Cite this article

fpga architecture research paper

  • Praveenkumar Babu 1 &
  • Eswaran Parthasarathy   ORCID: orcid.org/0000-0003-0006-8649 1  

2049 Accesses

22 Citations

Explore all metrics

Reconfigurable computing is a potential paradigm which has been effectively performing mostly in the developments of devices likely Field Programmable Gate Arrays (FPGAs). This paper illustrates the reconfigurable architecture of FPGA and its types. Most widely used high-speed computation fabrics utilized in reconfigurable computing are FPGAs. This paper demonstrates the architectures used in reconfigurable computing and shows the various advantages of using reconfigurable computing design over conventional Application-Specific Integrated Circuits for achieving high level of performance for a desired application. The survey deals with the architecture of FPGAs and their types in detail. This paper also explains the highlights and challenges of fine-grained and coarse-grained architectures. FPGAs have supported partial reconfiguration over the few years. This survey also includes the partial reconfiguration techniques and the various applications of reconfigurability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price includes VAT (Russian Federation)

Instant access to the full article PDF.

Rent this article via DeepDyve

Institutional subscriptions

fpga architecture research paper

Similar content being viewed by others

fpga architecture research paper

Survey on chiplets: interface, interconnect and integration methodology

fpga architecture research paper

A Survey on Pipelined FFT Hardware Architectures

Oxide-based rram materials for neuromorphic computing.

S.A. Fahmy, K. Vipin, FPGA dynamic and partial reconfiguration: a survey of architectures, methods, and applications. Comput. Surveys 51 , 1–39 (2018)

Google Scholar  

Xilinx, FPGA , 2019. Retrieved January 14, 2019 from https://www.xilinx.com/products/silicon-devices/fpga/what-is-an-fpga.html

G. Singh, Reconfigurable computing: a review of the technology and its architecture. IOSR J. VLSI Signal Process. IOSR-JVSP 3 , 8–13 (2013)

Article   Google Scholar  

I. Kuon, J. Rose, Measuring the gap between FPGAs and ASICs. Trans. Compu. Aided Des. Integr. Circuits Syst. TCAD 26 (2), 203–215 (2007)

N. Alaraje, J.E. DeGroat, Evolution of reconfigurable architectures to SoFPGA, in 48th Midwest Symposium on Circuits and Systems . IEEE, pp. 818–821 (2005)

C. Bobda, Introduction to Reconfigurable Computing: Architectures, Algorithms, and Applications (Kluwer Academic Publishers, Dordrecht, 2007)

Book   MATH   Google Scholar  

S. Brown, J. Rose, Architecture of FPGAs and CPLDs: a tutorial. IOSR J. VLSI Signal Process. IOSR-JVSP 13 (2), 42–57 (1996)

U. Farooq, Tree-Based Heterogeneous FPGA Architectures (Springer, Berlin, 2012)

Book   Google Scholar  

Microsemi Corp Tim Morin. Flash FPGAs give designers more flexibility. Retrieved January 14, 2019 from https://www.embedded.com/electronics-blogs/industry-comment/4438457/Flash-FPGAs-give-designers-more-flexibility (2019)

K. WeiB, C. Oetker, I. Katchan, T. Steckstor, W. Rosenstiel, Power estimation approach for SRAM-based FPGAs, in Proceedings of the 2000 ACM/SIGDA Eighth International Symposium on Field Programmable Gate Arrays . IEEE, Monterey, CA, USA (2000)

Ali Azarian, Mahmood Ahmadi, Reconfigurable Computing Architecture : Survey and introduction. In 2nd International Conference on Computer Science and Information Technology . IEEE, Beijing, China, pp.269–27. (2009)

W. Zhang, T.-J. Lin, N.K. Jha, A fine-grain dynamically reconfigurable architecture aimed at reducing the FPGA-ASIC Gaps. Trans. Very Large Scale Integr. VLSI Syst. 22 (12), 2607–2620 (2014)

M. Jain, M.P. Singh, A survey of reconfigurable architectures. Int. J. Comput. Appl. 98 (14), 36–40 (2014)

A. DeHon, R. Tessier, K. Pocek, Reconfigurable computing architectures, in Proceedings of the IEEE . IEEE, USA, pp. 332–354 (2015)

D. Soudris, G. Theodoridis, S. Vassiliadis, Basic Definitions, Critical Design Issues and Existing Coarse-grain Reconfigurable Systems in A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools (Springer, Berlin, 2007), pp. 89–149

A. De Hon. Reconfigurable Accelerators. Technical Report 1586. MIT Artificial Intelligence Laboratory (1996)

V. Tehre, R. Kshirsagar, Survey on coarse grained reconfigurable architectures. Int. J. Comput. Appl. 48 , 16 (2012)

P. Corsonello-Martin, M.M. Lanuzza, S. Perri, A new reconfigurable coarse-grain architecture for multimedia applications, in Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007) . IEEE, pp. 119–126 (2007)

C. Habermann-Manfred, G.J. Becker, T. Pionteck, Design and implementation of a coarse-grained dynamically reconfigurable hardware architecture, in Proceeding WVLSI 01 Proceedings of the IEEE Computer Society Workshop on VLSI . IEEE, pp. 41–46 (2001)

R. Tessier, I. Kuon, J. Rose, FPGA architecture: survey and challenges. Found. Trends Electron. Des. Autom. 2 (2), 135–253 (2008)

D. Cherepacha, D. Lewis, DP-FPGA: an FPGA architecture optimized for datapaths. Proc. FPGA 1994 , 329–343 (1994)

R. Kress, R.W. Hartenstein, A datapath synthesis system for the reconfigurable datapath architecture, in Proceeding of ASP-DAC95 . pp. 329–343 (1995)

D.C. Cronquist, C. Ebeling, P. Franklin, RaPiD: reconfigurable pipelined datapath architecture, in International Workshop on Field Programmable Logic and Applications, Field-Programmable Logic Smart Applications, New Paradigms and Compilers . Springer, pp. 126–135 (1996)

M. Moe, M. Budiu, S. Cadambi, R.R. Taylor, S.C. Goldstein, H. Schmit, R. Laufer, PipeRench: a coprocessor for streaming multimedia acceleration, in Proceedings of the 26th International Symposium on Computer Architecture (1999)

D. Chen, J. Rabaey, A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths. J. Solid-State Circuits 27 (12), 1895–1904 (1992)

Altera. Partial Reconfiguration IP Core, UG-PARTRECON datasheet. Retrieved January 14, 2019 from https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug-partrecon.pdf (2017)

Xilinx Inc. 2011b. Virtex-II Pro and Virtex-II Pro-X Platform FPGAs, DS083 (v5.0).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/data_sheets/ds083.pdf

Xilinx Inc. 2015a.UG360: Virtex 6 FPGA Configuration user guide, UG360 (v3.9).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/user_guides/ug360.pdf

K. Compton, S. Hauck, Reconfigurable computing: a survey of systems and software. Comput. Surveys 34 (2), 171–210 (2002)

Xilinx Inc. 2003. Two flows for partial reconfiguration: module based or difference based, Xilinx Application Note XAPP290, Version 1.1. Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/application_notes/xapp290.pdf

Xilinx Inc. 2004b. Two flows for partial reconfiguration: module based or difference based, Xilinx Application Note XAPP290, Version 1.2. Retrieved January 14, 2019 from https://pdfhall.com/xilinx-xapp290-two-flows-for-partial-xun-zhang-page_5bd91d8a097c47647d8b4574.html (2004)

Xilinx Inc. 2011a. Partial Reconfiguration User Guide, UG702 (v13.1). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx13_1/ug702.pdf (2011)

S. McMillan, B. Blodget, P. Lysaght, A lightweight approach for embedded reconfiguration of FPGAs. Proc. Conf. Des. Autom. Test Europe 1 , 399–400 (2003)

S. Bayar, A. Yurdakul, Dynamic partial self reconfiguration on Spartan-III FPGAs via a parallel configuration access port (PCAP), in Proceedings of the 2nd HiPEAC Workshop on Reconfigurable Computing , pp. 1–10 (2008)

J. Mason, J. Young, P. Lysaght, B. Blodget, B. Bridgford, Enhanced architectures, design methodologies and CAD tools for dynamic reconfiguration of Xilinx FPGAS, in Proceedings of the International Conference on Field Programmable Logic and Applications , pp. 1–6 (2006)

Xilinx Inc. 2017c. Vivado Design Suite Tutorial, UG947 (v2017.3). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx2017_1/ug947-vivado-partial-reconfiguration-tutorial.pdf

D. Koch, Z. Xiao, M. Lujan, A partial reconfiguration controller for Altera Stratix V FPGAs, in Proceedings of the International Conference on Field Programmable Logic and Applications (2016)

S.A. Fahmy, K. Vipin, ZyCAP: efficient partial reconfiguration management on the Xilinx Zynq. IEEE Embedded Syst. Lett. 6 (3), 41–44 (2014)

K. Rajesham, M. Majer, A. Niyonkuru, C. Bobda, A. Ahmadinia, Partial configuration design and implementation challenges on Xilinx Virtex FPGAs, in Proceedings of 18th International Conference on Architecture of Computing Systems , pp. 61–66 (2005)

Xilinx Inc. Programmable Logic Data Book, 1996. Retrieved January 14, 2019 from http://noel.feld.cvut.cz/hw/xilinx/Xilinx96.pdf

Xilinx Inc.2014b. Virtex-II Platform FPGAs, DS031 (v4.0). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/data_sheets/ds031.pdf

Xilinx Inc. 2004c.Virtex Series Configuration Architecture User Guide, XAPP151 (v1.7). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/application_notes/xapp151.pdf

Xilinx Inc. 2007b. Xilinx Device Drivers Programmer Guide, (v1.4), Retrieved January 14, 2019 from https://xilinx.github.io/embeddedsw.github.io/doc/xilinx_drivers_guide.pdf (2007)

Xilinx Inc. 2004a.DS280: OPB HWICAP, DS 280 (v1.3). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/ip_documentation/opb_hwicap.pdf (2004)

Xilinx Inc.UG070:Virtex-4 FPGA User Guide, UG070 (v2.6). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/user_guides/ug070.pdf (2008)

Xilinx Inc. 2010. DS586: XPS HWICAP, LogiCORE IP XPS HWICAP(v5.00a). Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/ip_documentation/xps_hwicap.pdf

Xilinx Inc. 2007a. Virtex-II Pro and Virtex-II Pro-X FPGA User guide, UG012(v4.2), Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/user_guides/ug012.pdf

Xilinx Inc. 2015b. UG360: Virtex 6 FPGA Configuration User Guide,UG360 (v3.9).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/user_guides/ug360.pdf

Xilinx Inc. 2014a. UG910:Vivado Design Suite User Guide, UG910 (v2014.1).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx2017_1/ug910-vivado-getting-started.pdf

Xilinx Inc. 2012. UG893:Vivado Design Suite User Guide, UG893 (v2012.2).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx2018_1/ug893-vivado-ide.pdf

Xilinx Inc. 2017a.UG909:Vivado Design Suite User Guide Partial Reconfiguration, UG909 (v2017.1). Retrieved Jan-uary 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx2018_1/ug909-vivado-partial-reconfiguration.pdf

Xilinx Inc. 2017b. Vivado Design Suite HLx Editions User Guide, UG893 (v2017.3).Retrieved January 14, 2019 from https://www.xilinx.com/support/documentation/sw_manuals/xilinx2017_3/ug893-vivado-ide.pdf

C. Liang, X. Huang, SMARTCELL: a power-efficient reconfigurable architecture for data streaming applications, in IEEE Workshop on Signal Processing Systems (SiPS) , pp. 257–262 (2008)

Z. Li, S. Hauck, E. Schwabe, Configuration compression for the Xilinx XC6200 FPGA. Trans. Comput.-Aided Des. Integr. Circuits Syst. 18 (8), 1107–1112 (2008)

U. Algemili, Investigation of reconfigurable FPGA design for processing big data streams, in 2nd International Conference on Big Data Security on Cloud, IEEE International Conference on High Performance and Smart Computing; IEEE International Conference on Intelligent Data and Security (IDS) (2016)

Z.-K. Wang, X. Liu, X.-X. Yan, Q.-X. Deng, Design and FPGA implementation of a reconfigurable digital down converter for wideband applications. Trans. Very Large Scale Integr. VLSI Syst. 25 (12), 3548–3552 (2017)

J.-C. Prvotet, J. Lorandel, M. Helard, Fast power and performance evaluation of FPGA-based wireless communication systems. IEEE Access 4 , 2005–2018 (2016)

T. Dao, K. Chowdhury, M. Leeser, B. Drozdenko, M. Zimmermann, Hardware-software codesign of wireless transceivers on Zynq heterogeneous systems. Trans. Emerg. Topics Comput. 6 (4), 566–578 (2018)

G. Xing, M. Shen, C. Ebeling, C. Fisher, H. Liu, Implementing an OFDM receiver on the RaPiD reconfigurable architecture. Trans. Comput. 53 (11), 1436–1448 (2004)

S.A. Fahmy, T.H. Pham, I.V. Mcloughlin, An end-to-end multi-standard OFDM transceiver architecture using FPGA partial reconfiguration. IEEE Access 5 , 21002–21015 (2017)

X. Chen-Xiaojun, T.Y. Yao, Z. Wang, Q. Luo, A dynamic reconfigurable design of multiple cryptographic algorithms based on FPGA, in International Conference on Smart Internet of Things . IEEE, pp. 105–110 (2018)

C. Shao, L. Dai, X. Guoqing, H. Li, D. Guanghua, J. Guo, Heavy-ion microbeam fault injection into SRAM-based FPGA implementations of cryptographic circuits. Trans. Nucl. Sci. 62 (3), 1341–1348 (2015)

D. Hulton, Accelerating cryptography with FPGA clusters. Retrieved April 10, 2019 from http://mil-embedded.com/articles/accelerating-cryptography-fpga-clusters (2010)

A. Baksi, A. Chattopadhyay, V. Pudi, T. Srikantha, FPGA based cyber security protocol for automated traffic monitoring systems: proposal and implementation, in Computer Society Annual Symposium on VLSI (ISVLSI) . IEEE, pp. 18–23 (2016)

D.H. Summerville, H. Chen, Y. Chen, A survey on the application of FPGAs for network infrastructure security. Commun. Surveys Tutor. 13 (4), 541–561 (2011)

B.J. Mohd, T. Hayajneh, S. Ullah, K.S. Balagani, An enhanced WLAN security system with FPGA implementation for multimedia applications. IEEE Syst. J. 11 (4), 2536–3545 (2017)

G. Zervas, V. Mishra, Q. Chen, REoN: a protocol for reliable software-defined FPGA partial reconfiguration over network, in 2016 International Conference on ReConFigurable Computing and FPGAs (ReConFig) . IEEE (2016)

C. Rotsos, N. Zilberman, P.M. Watts, A.W. Moore, Reconfigurable network systems and software-defined networking, in IEEE Proceedings , vol. 103. IEEE (2015)

K. Mei, B. Zhang, N. Zheng, Reconfigurable processor for binary image processing. Trans. Circuits Syst. Video Technol. 25 (3), 823–831 (2013)

I.M. Saied, M. Meribout, E. Alosani, A new FPGA-based terahertz imaging device for multiphasecircuits and systems II: express briefs. IEEE Trans. Terahertz Sci. Technol. 64 (3), 319–323 (2017)

M. Garca-Valderas, A. Lindoso, L. Entrena, L. Parra, A hybrid fault-tolerant LEON3 soft core processor implemented in low-end SRAM FPGA. Trans. Nucl. Sci. 64 , 1 (2017)

J. Hormigo, J. Villalba, HUB floating point for improving FPGA implementations of DSP applications. Trans. Circuits Syst. II Express Briefs 64 (3), 319–323 (2017)

B. Ronak, S.A. Fahmy, Multipumping flexible DSP blocks for resource reduction on Xilinx FPGAs. Trans. Comput.-Aided Des. Integr. Circuits Syst. 36 (9), 1474–1482 (2017)

K. Sano, S. Yamamoto, FPGA-based scalable and power-efficient fluid simulation using floating-point DSP blocks. Trans. Parallel Distrib. Syst. 28 (10), 2823–2837 (2017)

A. Borsic, S. Khan, P. Manwaring, R.J. Halter, FPGA-based voltage and current dual drive system for high frame rate electrical impedance tomography. Trans. Med. Imaging 34 (4), 888–901 (2015)

C. Cappetta, G.D. Licciardo, L.D. Benedetto, Design of a gabor filter HW accelerator for applications in medical imaging. Trans. Compon. Packag. Manuf. Technol. 1187–1194 (2018)

A. Amira, H. Rabah, A. Ahmad, B. Krill, Efficient architectures for 3D HWT using dynamic partial reconfiguration. J. Syst. Architect. 56 , 305–316 (2010)

G. Roos, Consumer Electronics Drive FPGA Growth (2015), Retrieved April 10, 2019 from https://epsnews.com/2015/07/15/consumer-electronics-drive-fpga-growth

Xilinx, 2010, Xilinx in Consumer Electronics: Accelerating Differentiation and Innovation , Retrieved April 16, 2019 from https://www.xilinx.com/publications/prod_mktg/CS527_Consumer_SellSheet.pdf

M.H. Zarifi, H. Taghipour, J. Frounchi, Design and implementation of MP3 decoder using partial dynamic reconfiguration on Virtex-4 FPGAs, in Proceedings of the International Conference on Computer and Communication Engineering . IEEE (2008)

E.B. Bourennane, S. Bouchoux, M. Paindavoine, Implementation of JPEG2000 arithmetic decoder using dynamic reconfiguration of FPGA, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI Systems Design , pp. 2841–2844 (2004)

H. Yokoyama, K. Toda, FPGA-based content protection system for embedded consumer electronics, in Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA.05) . IEEE (2005)

M.A.R. Saghir, Y.E. Hillali, N. Harb, S. Niar, R.B. Atitallah, Dynamically reconfigurable architecture for a driver assistant system, in Proceedings of the 9th Symposium on Application Specific Processors (SASP) . IEEE, pp. 62–65 (2011)

F. Muller, C. Claus, J. Zeppenfeld, W. Stechele, Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition . IEEE, pp. 1–6 (2007)

W. Stechele, C. Claus, A. Herkersdorf, Autovision: a run-time reconfigurable MPSoC architecture for future driver assistance systems. Inf. Technol. 49 (8), 181–186 (2007)

S.A. Fahmy, S. Shreejith, M. Lukasiewycz, Reconfigurable computing in next-generation automotive networks. Embed. Syst. Lett. 5 (1), 12–15 (2013)

P.A. Moreno, J.E. Duarte-Snchez, J. Velasco-Medina, Hardware accelerator for the multifractal analysis of DNA sequences. Trans. Compon. Packag. Manuf. Technol. 15 (5), 1611–1624 (2018)

C. Rousopoulos, K. Pramataris, I. Papaefstathiou, A. Dollas, A. Papadopoulos-I, V.J. Kirmitzoglou, T. Promponas, G. Theocharides, G. Petihakis, E. Chrysos, J.L. Sotiriades, Reconfiguring the bioinformatics computational spectrum: challenges and opportunities of FPGA-based bioinformatics acceleration platforms. Des. Test 31 (1), 62–73 (2014)

K. Benkrid, H.M. Hussain, H. Seker, Dynamic partial reconfiguration implementation of the SVM/KNN multi-classifier on FPGA for bioinformatics application, in Proceedings of The 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC) . IEEE, pp. 7667–7670 (2007)

L. Tosi, M.D. Ciano, O. Mulertt, Y. Gabriel, J.-D. Legat, D. Aulagnier, C. Gamrat, R. Liberati, P. Manet, D. Maufroid, V.L. Barba, RECOPS: reconfiguring programmable devices for military hardware electronics, in Proceedings of 2007 Design, Automation Test in Europe Conference Exhibition . IEEE, pp. 1–6 (2018)

A. Fernndez, N. Montealegre, D. Merodio, P. Armbruster, In-flight reconfigurable FPGA-based space systems, in NASA/ESA Conference on Adaptive Hardware and Systems (AHS) . IEEE, pp. 1–8 (2015)

N. Belanger, R.B. Atitallah, V. Viswanathan, J.-L. Dekeyser, FPGA-centric design process for avionic simulation and test. Trans. Aerospace Electron. Syst. 54 (3), 1047–1065 (2018)

N. Marzwell, W.H. Zheng, S. Chau, In-system partial run-time reconfiguration for fault recovery applications on spacecrafts, in International Conference on Systems, Man and Cybernetics , Vol. 4. IEEE, pp. 3952–3957 (2005)

T.H. Nguyen, E. Cetin, Z. Zhao, D. Agiakatsikas, O. Diessel, Fine-grained module-based error recovery in FPGA-based TMR systems. Trans. Reconfig. Technol. Syst. 11 (1), 1047–1065 (2018)

Xilinx, 2008, XAPP987: Single-Event Upset Mitigation Selection Guide, Retrieved April 16, 2019 from https://www.xilinx.com/support/documentation/application_notes/xapp987.pdf

Xilinx 2018. PG268: MicroBlaze Triple Modular Redundancy (TMR) Subsystem(v1.0). Retrieved April 16, 2019 from https://www.xilinx.com/support/documentation/ip_documentation/tmr/v1_0/pg268-tmr.pdf

E. Wang, J.J. Davis, R. Zhao, H.-C. Ng, X. Niu, W. Luk, P.Y.K. Cheung, G.A. Constantinides, Deep neural network approximation for custom hardware: where we’ve been, where we’re going. ACM Comput. Surv. (2019). https://doi.org/10.1145/3309551

A. Shawahna, S.M. Sait, A. El-Maleh, FPGA-based accelerators of deep learning networks for learning and classification: a review. IEEE Access 7 , 7823–7859 (2019). https://doi.org/10.1109/ACCESS.2018.2890150

V.Y. Cambay, A. Uar, M.A. Arserim, Object detection on FPGAs and GPUs by using accelerated deep learning, in 2019 International Artificial Intelligence and Data Processing Symposium (IDAP) , Malatya, Turkey, pp. 1–5 (2019). https://doi.org/10.1109/IDAP.2019.8875870

K. Freund, Amazon And Xilinx Deliver New FPGA Solutions , 2017. Retrieved April 16, 2019 from https://www.forbes.com/sites/moorinsights/2017/09/27/amazon-and-xilinx-deliver-new-fpga-solutions/#751292392370

Intel, 2019, Intel Agilex FPGA Advanced Information Brief: (Device Overview), AG overview , Retrieved April 10, 2019 from https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/agilex/ag-overview.pdf

Xilinx, 2019, Xilinx and Samsung Jointly Enable the Worlds First 5G NR Commercial Deployment , Retrieved February 25, 2019 from https://www.xilinx.com/news/press/2019/xilinx-and-samsung-jointly-enable-the-world-s-first-5g-nr-commercial-deployment.html

Download references

Author information

Authors and affiliations.

Department of Electronics and Communication Engineering, Faculty of Engineering and Technology, SRM Institute of Science and Technology, Kattankulathur, Chennai, Tamil Nadu, 603203, India

Praveenkumar Babu & Eswaran Parthasarathy

You can also search for this author in PubMed   Google Scholar

Corresponding author

Correspondence to Eswaran Parthasarathy .

Additional information

Publisher's note.

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Babu, P., Parthasarathy, E. Reconfigurable FPGA Architectures: A Survey and Applications. J. Inst. Eng. India Ser. B 102 , 143–156 (2021). https://doi.org/10.1007/s40031-020-00508-y

Download citation

Received : 23 August 2019

Accepted : 21 October 2020

Published : 17 November 2020

Issue Date : February 2021

DOI : https://doi.org/10.1007/s40031-020-00508-y

Share this article

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

  • Reconfigurable computing
  • Fine-grained architecture
  • Coarse-grained architecture
  • Partial reconfiguration
  • Find a journal
  • Publish with us
  • Track your research

Princeton University Logo

  • Help & FAQ

PRGA: An open-source fpga research and prototyping framework

  • Electrical and Computer Engineering
  • Princeton Language and Intelligence (PLI)

Research output : Chapter in Book/Report/Conference proceeding › Conference contribution

Field Programmable Gate Arrays (FPGA) are being used in a fast-growing range of scenarios, and heterogeneous CPU-FPGA systems are being tapped as a possible way to mitigate the challenges posed by the end of Moore's Law. This growth in diverse use cases has fueled the need to customize FPGA architectures for particular applications or application domains. While high-level FPGA models can help explore the FPGA architecture space, as FPGAs move to more advanced design nodes, there is an increased need for low-level FPGA research and prototyping platforms that can be brought all the way to fabrication. This paper presents Princeton Reconfigurable Gate Array (PRGA), a highly customizable, scalable, and complete open-source framework for building custom FPGAs. The framework's core functions include generating synthesizable Verilog from user-specified FPGA architectures, and providing a complete, auto-generated, open-source CAD toolchain for the custom FPGAs. Developed in Python, PRGA provides a user-friendly API and supports use both as a standalone FPGA as well as an embedded FPGA. PRGA is a great platform for FPGA architecture research, FPGA configuration memory research, FPGA CAD tool research, and heterogeneous systems research. It is also a completely open-source framework for designers who need a free and customizable FPGA IP core. An FPGA designed with PRGA is placed and routed using standard cell libraries. The design is evaluated and compared to prior works, providing comparable performance and increased configurability.

Publication series

All science journal classification (asjc) codes.

  • Hardware and Architecture
  • Electrical and Electronic Engineering
  • FPGA architecture
  • Open-source hardware

Access to Document

  • 10.1145/3431920.3439294

Other files and links

  • Link to publication in Scopus
  • Link to the citations in Scopus

Fingerprint

  • Field programmable gate arrays (FPGA) Engineering & Materials Science 100%
  • Computer aided design Engineering & Materials Science 12%
  • Intellectual property core Engineering & Materials Science 9%
  • Computer hardware description languages Engineering & Materials Science 7%
  • Application programming interfaces (API) Engineering & Materials Science 6%
  • Program processors Engineering & Materials Science 6%
  • Fabrication Engineering & Materials Science 5%
  • Data storage equipment Engineering & Materials Science 4%

T2 - 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA 2021

AU - Li, Ang

AU - Wentzlaff, David

N1 - Publisher Copyright: © 2021 ACM.

PY - 2021/2/17

Y1 - 2021/2/17

N2 - Field Programmable Gate Arrays (FPGA) are being used in a fast-growing range of scenarios, and heterogeneous CPU-FPGA systems are being tapped as a possible way to mitigate the challenges posed by the end of Moore's Law. This growth in diverse use cases has fueled the need to customize FPGA architectures for particular applications or application domains. While high-level FPGA models can help explore the FPGA architecture space, as FPGAs move to more advanced design nodes, there is an increased need for low-level FPGA research and prototyping platforms that can be brought all the way to fabrication. This paper presents Princeton Reconfigurable Gate Array (PRGA), a highly customizable, scalable, and complete open-source framework for building custom FPGAs. The framework's core functions include generating synthesizable Verilog from user-specified FPGA architectures, and providing a complete, auto-generated, open-source CAD toolchain for the custom FPGAs. Developed in Python, PRGA provides a user-friendly API and supports use both as a standalone FPGA as well as an embedded FPGA. PRGA is a great platform for FPGA architecture research, FPGA configuration memory research, FPGA CAD tool research, and heterogeneous systems research. It is also a completely open-source framework for designers who need a free and customizable FPGA IP core. An FPGA designed with PRGA is placed and routed using standard cell libraries. The design is evaluated and compared to prior works, providing comparable performance and increased configurability.

AB - Field Programmable Gate Arrays (FPGA) are being used in a fast-growing range of scenarios, and heterogeneous CPU-FPGA systems are being tapped as a possible way to mitigate the challenges posed by the end of Moore's Law. This growth in diverse use cases has fueled the need to customize FPGA architectures for particular applications or application domains. While high-level FPGA models can help explore the FPGA architecture space, as FPGAs move to more advanced design nodes, there is an increased need for low-level FPGA research and prototyping platforms that can be brought all the way to fabrication. This paper presents Princeton Reconfigurable Gate Array (PRGA), a highly customizable, scalable, and complete open-source framework for building custom FPGAs. The framework's core functions include generating synthesizable Verilog from user-specified FPGA architectures, and providing a complete, auto-generated, open-source CAD toolchain for the custom FPGAs. Developed in Python, PRGA provides a user-friendly API and supports use both as a standalone FPGA as well as an embedded FPGA. PRGA is a great platform for FPGA architecture research, FPGA configuration memory research, FPGA CAD tool research, and heterogeneous systems research. It is also a completely open-source framework for designers who need a free and customizable FPGA IP core. An FPGA designed with PRGA is placed and routed using standard cell libraries. The design is evaluated and compared to prior works, providing comparable performance and increased configurability.

KW - FPGA architecture

KW - Open-source hardware

UR - http://www.scopus.com/inward/record.url?scp=85102026359&partnerID=8YFLogxK

UR - http://www.scopus.com/inward/citedby.url?scp=85102026359&partnerID=8YFLogxK

U2 - 10.1145/3431920.3439294

DO - 10.1145/3431920.3439294

M3 - Conference contribution

AN - SCOPUS:85102026359

T3 - FPGA 2021 - 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays

BT - FPGA 2021 - 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays

PB - Association for Computing Machinery, Inc

Y2 - 28 February 2021 through 2 March 2021

IMAGES

  1. Overall FPGA architecture for optimum path forest (OPF) classification

    fpga architecture research paper

  2. FPGA architecture of real-time FAST and BRIEF detector

    fpga architecture research paper

  3. FPGA based system architecture

    fpga architecture research paper

  4. Architecture of FPGA The paper is organized as follows. FPGA placement

    fpga architecture research paper

  5. FPGA Design, Architecture and Applications (Updated) [2024]

    fpga architecture research paper

  6. Overview of FPGA architecture

    fpga architecture research paper

VIDEO

  1. FPGA Architecture

  2. Lecture 8

  3. 7 May 2024 ORI FPGA Meetup

  4. 9 April 2024 ORI FPGA Meetup

  5. FPGA Project: Control a Robotic Arm using a Keypad and FPGA

  6. FPGA Architecture, FPGA Design chips, CLB's, VLSI Design,FPGA Consist of CLB,Field Programmable gate

COMMENTS

  1. FPGA Architecture: Principles and Progression

    Since their inception more than thirty years ago, field-programmable gate arrays (FPGAs) have been widely used to implement a myriad of applications from different domains. As a result of their low-level hardware reconfigurability, FPGAs have much faster design cycles and lower development costs compared to custom-designed chips. The design of an FPGA architecture involves many different ...

  2. PDF FPGA Architecture: Principles and Progression

    ongoing research. II. FPGA Architecture Evaluation As shown in Fig. 2, the FPGA architecture evaluation flow consists of three main components: a suite of benchmark applications, an architecture model, and a CAD system. Unlike an ASIC built for a specific functionality, an FPGA is a general-purpose platform designed for many use

  3. PRGA: An Open-Source FPGA Research and Prototyping Framework

    PRGA is a great platform for FPGA architecture research, FPGA configuration memory research, FPGA CAD tool research, and heterogeneous systems research. It is also a completely open-source framework for designers who need a free and customizable FPGA IP core. ... This paper describes the architecture of a time-multiplexed FPGA. Eight ...

  4. Reconfigurable FPGA Architectures: A Survey and Applications

    Reconfigurable computing is a potential paradigm which has been effectively performing mostly in the developments of devices likely Field Programmable Gate Arrays (FPGAs). This paper illustrates the reconfigurable architecture of FPGA and its types. Most widely used high-speed computation fabrics utilized in reconfigurable computing are FPGAs. This paper demonstrates the architectures used in ...

  5. An optimized FPGA architecture for machine learning applications

    This paper proposes an FPGA architecture optimized for ML applications while keeping the FPGA's flexibility. This was achieved by enhancing the DSP block with posit multipliers, which can handle expensive operations better than IEEE-754 floating-point multipliers, and by using the latest research findings in routing architecture and logic ...

  6. PDF PRGA: An Open-Source FPGA Research and Prototyping Framework

    can help explore the FPGA architecture space, as FPGAs move to more advanced design nodes, there is an increased need for low-level FPGA research and prototyping platforms that can be brought all the way to fabrication. This paper presents Princeton Reconfigurable Gate Array (PRGA), a highly customizable, scalable, and complete open-source

  7. fpga architecture Latest Research Papers

    The architecture is implemented on Artix 7 FPGA at a 28nm technology node. The simulation is done using the HDL tool and the results are compared with the existing FPGA architecture. With the proposed method, the wire density and the power consumption are reduced by 57.4% and 50% respectively as compared with existing 45 nm technologies.

  8. Emerging Applications of Recent FPGA Architectures

    Feature papers represent the most advanced research with significant potential for high impact in the field. A Feature Paper should be a substantial original Article that involves several techniques or approaches, provides an outlook for future research directions and describes possible research applications. ... FPGA-Based Architecture for ...

  9. FPGA Architectures: An Overview

    Abstract. Field Programmable Gate Arrays (FPGAs) were first introduced almost two and a half decades ago. Since then they have seen a rapid growth and have become a popular implementation media for digital circuits. The advancement in process technology has greatly enhanced the logic capacity of FPGAs and has in turn made them a viable ...

  10. PDF Reconfigurable FPGA Architectures: A Survey and Applications

    This paper is summarized in this way: In ''FPGA as a Reconfigurable Architecture'' section, it gives a general view of FPGA architectures and types of FPGAs. ''Fine- ... Fig. 3 FPGA architecture Fig. 4 Actel PLICE antifuse J. Inst. Eng. India Ser. B (February 2021) 102(1):143-156 145 123.

  11. FPGA Architecture: Principles and Progression

    Tools for FPGA architecture exploration, such as VTR [8], are well-established in the FPGA research community. A typical FPGA architecture exploration flow consists of three main components: (1) a ...

  12. FPGA Architecture: Survey and Challenges

    FPGA architecture has a dramatic effect on the quality of the final device's speed performance, area efficiency, and power consumption. This survey reviews the historical development of programmable logic devices, the fundamental programming technologies that the programmability is built on, and then describes the basic understandings gleaned ...

  13. FPGA Architecture: Principles and Progression

    TL;DR: Koios as mentioned in this paper is a suite of DL acceleration benchmark circuits for FPGA architecture and CAD research, which covers a wide variety of accelerated neural networks, design sizes, implementation styles, abstraction levels, and numerical precisions.

  14. FPGA Architecture: Survey and Challenges

    This survey reviews the historical development of programmable logic devices, the fundamental programming technologies that the programmability is built on, and then describes the basic understandings gleaned from research on architectures. Field-Programmable Gate Arrays (FPGAs) have become one of the key digital circuit implementation media over the last decade. A crucial part of their ...

  15. HYBRID FPGA ARCHITECTURE

    Hybrid FPGA Architecture (HFA) offers significant savings in terms of the total area. Also, the HFA creates the potential to reduce the depth of the circuit implemented in the FPGA, which may provide improvements in speed-performance. This paper is organized as follows: Section 2 discusses related research on architecture of FPDs, Section 3 ...

  16. Efficient FPGA based architecture for high‐order FIR filtering using

    Numerous designs and methods have been developed to implement fixed and reconfigurable architectures with a significant reduction of complexity in application specific integrated circuits (ASIC) and FPGA devices [7-11 - 7-11].However, an area-efficient architecture for an ASIC based configuration can't automatically deliver a reduced FPGA logic utilization.

  17. PRGA: An open-source fpga research and prototyping framework

    This paper presents Princeton Reconfigurable Gate Array (PRGA), a highly customizable, scalable, and complete open-source framework for building custom FPGAs. ... PRGA is a great platform for FPGA architecture research, FPGA configuration memory research, FPGA CAD tool research, and heterogeneous systems research. It is also a completely open ...

  18. A Review of FPGA‐Based Custom Computing Architecture for Convolutional

    This paper summarizes and analyzes the FPGA-based custom computing architecture for CNN. Compared with the other review papers [26-32], the research taxonomy and representative achievement are systematically summarized, and the improvement for the CNN inference process is demonstrated through an example.

  19. Hardware architecture optimization for high ...

    DOI: 10.1007/s11554-024-01470-4 Corpus ID: 269743382; Hardware architecture optimization for high-frequency zeroing and LFNST in H.266/VVC based on FPGA @article{Zhang2024HardwareAO, title={Hardware architecture optimization for high-frequency zeroing and LFNST in H.266/VVC based on FPGA}, author={Junxiang Zhang and Qinghua Sheng and Rui Pan and Jiawei Wang and Kuan Qin and Xiaofang Huang and ...

  20. Design of Reversible Serial Adder Based on EPOE Expressions for

    This paper proposed the design of a low-cost self-control serial adder system. ... She is also received MSc and PhD degree in computer architecture from science and research branch, Islamic Azad University, Tehran, Iran. ... Iran. Her area of research interest includes computer architecture, FPGA, bioinformatics, and photonic NOC. Email: m ...